doxygenのVHDL対応

doxygenがVHDLに対応していたので使ってみたのですが、期待外れでした。

全体的に「ドキュメントにならない」という印象です。具体的にどこが、と言われると困るのですがドキュメント化してほしいところがドキュメントできないといったところでしょうか。

例えば、architecture部直下の並列代入文が並ぶ部分を、ソースコードとしてドキュメントに差し込むことができません。一方、これはfunctionに対してなら行うことができます。また、外部デザインを実体化させる場合、コンポーネント宣言をすればクリッカブル・リンクを埋め込んでくれるようですが、私が使っている 「名前 : entity work.デザイン名」という方式だと、クリッカブル・リンクを作ってくれません。そのため、デザインのリファレンス関係も上手く図面化してくれません。

もうちょっと何とかならないかな、という気分です。

コメントする

このサイトはスパムを低減するために Akismet を使っています。コメントデータの処理方法の詳細はこちらをご覧ください