VHDLの型変換

週末はVHDLの型変換について調べていました。

だいぶわかってきたのですが、もう一押し、と思っていたところすばらしい解説を見つけました。VHDL Type Conversionにはビット・ベクトルと数値の間の変換をまとめています。

ビット・ベクトル間だと型のキャスティングだけでよいのですが、ビット・ベクトルと数値の間では変換関数を使います。そして変換関数は”to_”で始まる名前です。

わかりやすいですね。

コメントする

このサイトはスパムを低減するために Akismet を使っています。コメントデータの処理方法の詳細はこちらをご覧ください