DIR

DIR( Direction )レジスタはプログラマブル・フラグの入出力方向を決めます。

レジスタの構造

このレジスタは16ビットのビットマップレジスタです。初期値は0x0000です。

各ビットはPF出力ピンに対応しています。DIRレジスタのビットが1のとき、対応するPFピンは出力になります。0の時には入力になります。

API

C/C++言語用に以下のインターフェースが用意されています。宣言はsysreg.hで行われています。DIRにアクセスする前には必ずIOPGにGeneral_Purpose_IOを設定してください。

// #include <sysreg.h>
// #include <def2191.h>

sysreg_write(sysreg_IOPG, General_Purpose_IO)   // PFページに設定

io_space_write(DIR, 値)         // DIRに値を設定
変数 = io_space_read(DIR)       // DIRの値を取得

参照情報

項目 マニュアルのページ等
レジスタ構造 HR 14-44
2191空挺団 | プログラム | EZ-KIT | こぼれ話 | アーキテクチャー | 命令 | レジスタ | DSP掲示板 | FAQ |